47 0 190KB
ONLINE PLATFORM FOR PROGRAMMING AND RESEARCH (OP2R)
DEMUX VHDL CODE USING BEHAVIOURAL MODELING
Library declaration library IEEE; Std_logic_1164; package for std_logic (predefined data type). use IEEE.STD_LOGIC_1164.ALL; ------------------------------------------------------------------------
entity dmux_1 is Port ( i: in std_logic; sel: in std_logic_vector (1 downto 0); y: out std_logic_vector (3 downto 0); end dmux_1; ----------------------------------------------------------------------architecture Behavioral_dmux of dmux_1 is begin -------------------------------------------------------process (sel, i) begin case sel is when "00" => y(0)