Experiment (B) To Model A PISO (Parallel Input Serial Output) Shift Register [PDF]

  • 0 0 0
  • Gefällt Ihnen dieses papier und der download? Sie können Ihre eigene PDF-Datei in wenigen Minuten kostenlos online veröffentlichen! Anmelden
Datei wird geladen, bitte warten...
Zitiervorschau

Experiment (b) To Model a PISO( parallel input serial output ) shift register Entities:

entity PISO is Port ( clk,load : in STD_LOGIC; pi : in std_logic_vector(3 downto 0); so : out STD_LOGIC); end PISO; architecture Behavioral of PISO is signal t : std_logic; signal temp: std_logic_vector(3 downto 0); begin process (clk,pi,load) begin if (load='1') then temp(3 downto 0)