TNKTS - LAB2 đã chuyển đổi [PDF]

  • 0 0 0
  • Gefällt Ihnen dieses papier und der download? Sie können Ihre eigene PDF-Datei in wenigen Minuten kostenlos online veröffentlichen! Anmelden
Datei wird geladen, bitte warten...
Zitiervorschau

TNKTS - BÀI 2 – CÁC IC CHỨC NĂNG CƠ BẢN

BÀI THÍ NGHIỆM 2 CÁC IC CHỨC NĂNG CƠ BẢN MỤC TIÊU  Nắm được cách sử dụng kit thí nghiệm, phần mềm lập trình.  Nắm được cách khảo sát và thiết kế hàm boolean sử dụng các IC chức năng cơ bản.  Nắm được quy trình mô tả phần cứng trên FPGA.

CHUẨN BỊ  Đọc phần phụ lục Thí nghiệm 2 và Bài thí nghiệm 0 của tài liệu hướng dẫn phần Thí nghiệm trên kit DE2.

PHẦN LÀM TRÊN DIGIB THÍ NGHIỆM 1 Mục tiêu: Nắm được hoạt động của các IC chức năng cơ bản 74LS138, 74LS148, 74LS151, 74LS47, 74LS85, 74LS283. Yêu cầu: Sinh viên ghi lại các bảng chân trị của các IC chức năng đã học trong lí thuyết và kiểm chứng lại thực tế hoạt động của các IC. Lưu ý: Khi khảo sát, chú ý mức tích cực của các chân ở ngõ ra và ngõ vào.

Department of Electronics Digital System Design Laboratory

Page | 1

Hướng dẫn: Ví dụ về khảo sát 74LS151 74LS151 có bảng chân trị

Để khảo sát hoạt động của IC ở board CLF, nối ngõ vào của IC với SW trên board DI, nối ngõ ra với đèn LED trên board DO. Sinh viên tiến hành khảo sát theo nguyên tắc: - Mức 1 ở ngõ vào – SW được gạt lên, mức 0 ở ngõ vào – SW được gạt xuống. - LED sáng – ngõ ra mức 1, LED tắt – ngõ ra mức 0. ´ = 1, kiểm tra ngõ ra có phụ thuộc vào các giá trị ngõ vào Di hay không. Với Với EN ´ = 0, kiểm tra sự phụ thuộc của ngõ ra vào các giá trị ngõ vào Di. EN

Kiểm tra:  Sinh viên tiến hành khảo sát hết các cổng có trên board CLF và điền kết quả vào Bảng 1. Bảng 1: Kết quả khảo sát các cổng trên board CLF

Chức năng

Mã IC

Mô tả HĐ (Đ/S)

74LS138

Đ

74LS148

Đ

74LS151

Đ

74LS47

Đ

74LS85

Đ

74LS283

Đ

Ghi chú

THÍ NGHIỆM 2 Mục tiêu: Nắm được cách thức sử dụng các IC chức năng để thực hiện hàm boolean. Yêu cầu: Sinh viên thực hiện thiết kế hàm boolean F(x,y,z) = ∑(2,3,5,7) sử dụng IC chức năng 74LS151. Kiểm tra:  Sinh viên tiến hành vẽ lại mạch thiết kế hàm boolean đã cho ở Hình 2.  Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả Bảng 2.

Bảng 2: Kết quả khảo sát hoạt động của

Hình 2: Mạch mô tả hàm boolean

x

y

z

f

fTest

0

0

0

0

0

0

0

1

0

0

0

1

0

1

1

0

1

1

1

1

1

0

0

0

0

1

0

1

1

1

1

1

0

0

0

1

1

1

1

1

hàm boolean

 Sinh viên thực hiện thiết kế lại mạch thực hiện hàm boolean 4 biến sau: F(x,y,z,w) = ∑(2,6,8,9,11,13)

 Sinh viên tiến hành vẽ lại mạch thiết kế và lắp lại mạch kiểm tra. Trả lời: Kết quả kiểm tra đúng.

THÍ NGHIỆM 3 Mục tiêu: Nắm được cách thức sử dụng các IC chức năng để thực hiện hàm boolean. Yêu cầu: Sinh viên thực hiện thiết kế hàm boolean F(x,y,z) = ∑(0,3,4,7) sử dụng IC chức năng 74LS138. Kiểm tra:  Sinh viên tiến hành vẽ lại mạch thiết kế hàm boolean đã cho ở Hình 3.  Sinh viên tiến hành lắp mạch và khảo sát hoạt động của hàm, ghi kết quả Bảng 3.

Bảng boolean

x

y

z

f

fTest

0

0

0

1

1

0

0

1

0

0

0

1

0

0

0

0

1

1

1

1

1

0

0

1

1

1

0

1

0

0

1

1

0

0

0

1

1

1

1

1

3: Kết quả khảo sát hoạt động của hàm

Hình 3: Mạch mô tả hàm boolean

 Mạch được thiết kế có hoạt động đúng hay không? Trả lời : Mạch được thiết kế hoạt động đúng.  Trong mạch trên sử dụng cổng NAND mấy ngõ vào? Làm sao để thực hiện trên board? Trả lời: -Trong mạch sử dụng cổng NAND 4 ngõ vào. -Sử dụng một cổng NAND 3 ngõ vào và một cổng NAND 2 ngõ vào

PHẦN LÀM TRÊN KIT DE2 THÍ NGHIỆM 4 Mục tiêu: Nắm được cách mô tả hoạt động của các IC chức năng cơ bản sử dụng FPGA trên DE2. Yêu cầu: Sinh viên mô tả lại IC 74LS138 bằng VHDL và đổ chương trình xuống kit DE2 để kiểm tra hoạt động. Gán chân theo mẫu sau: Chân A được nối với SW0 Chân B được nối với SW1 Chân C được nối với SW2 Chân G1 được nối với SW13 Chân G2A được nối với SW14 Chân G2B được nối với SW15 Chân Y0 đến Y7 được nối với LED0 đến LED 7 Thiết kế:  Sơ đồ khối của thiết kế.

 Chương trình mô tả hoạt động của thiết kế. LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY IC74138 IS PORT ( c, b, a : IN STD_LOGIC; g1,g2a,g2b: IN STD_LOGIC; y : OUT STD_LOGIC_VECTOR(7 downto 0)); END IC74138; ARCHITECTURE flow OF IC74138 IS SIGNAL data: STD_LOGIC_VECTOR(2 downto 0); SIGNAL temp: STD_LOGIC_VECTOR(7 downto 0); BEGIN data